Welcome![Sign In][Sign Up]
Location:
Search - pwm verilog

Search list

[VHDL-FPGA-VerilogcpldPWM

Description: verilog HDL 编写的PWM,是初学CPLD者入门Z资源,epm7128stc100-10-verilog HDL prepared by the PWM, is a novice CPLD Getting Started Z resources, epm7128stc100-10
Platform: | Size: 236544 | Author: 章风 | Hits:

[Embeded-SCM Developpwm_source

Description: ALTERA PWM電路 這是一個ALTERA的PWM電路,可以整合到NIOSII IDE中,來完成一個PWM的系統。-Altera PWM circuit Altera This is a PWM circuit, NIOSII can be integrated into the IDE, to complete a PWM system.
Platform: | Size: 11264 | Author: Faye Tung | Hits:

[VHDL-FPGA-Verilogpwm

Description:
Platform: | Size: 22528 | Author: 李其 | Hits:

[VHDL-FPGA-VerilogSource

Description: PWM的Verilog HDL代码用于FPGA-PWM of the Verilog HDL code for FPGA
Platform: | Size: 2048 | Author: 张猛蛟 | Hits:

[VHDL-FPGA-VerilogPWM

Description: 脉冲宽度调制,VHDL代码编写,包括QUARTUSII和MODELSIM工程以及testbench-Pulse width modulation, VHDL coding, including QUARTUSII and ModelSim engineering and Testbench
Platform: | Size: 348160 | Author: horse | Hits:

[VHDL-FPGA-VerilogFPGA_PWM

Description: 用Verilog语言编写的FPGA控制PWM的程序.利用码盘脉冲进行调速,进行过简单试验,可用.没有经过长期验证.做简单修改即可应用!-Using Verilog languages FPGA control PWM procedures. Using pulse code disk for governor, conducted a simple test that can be used. Not after a long-term verification. To do a simple modification to the application!
Platform: | Size: 1024 | Author: 温海龙 | Hits:

[VHDL-FPGA-Veriloglai_PWM

Description: FPGA下PWM的Verilog 源码,含目标程序,可直接下载使用,可用在电机控制中-FPGA in Verilog source code under the PWM, including the target program, can be directly downloaded to use, can be used in motor control in
Platform: | Size: 867328 | Author: huangyongbing | Hits:

[VHDL-FPGA-Verilogpwm_hw

Description: sopc nios ii学习资料介绍niosii 开发自定义外设pwm的verilog源代码-Learning sopc nios ii information on the development of custom peripherals niosii the verilog source code pwm
Platform: | Size: 4096 | Author: 顾勇 | Hits:

[VHDL-FPGA-Verilogservo_module_worked

Description: verilog pwm to control servo motor on quartus
Platform: | Size: 21504 | Author: frankie | Hits:

[VHDL-FPGA-VerilogPWM_VerilogHDL

Description: altera公司网站上的详细的PWM设计的Verilog hdl源程序,大多数都采用这个-altera company' s Web site the detailed design of the PWM source Verilog hdl, most have adopted this
Platform: | Size: 2048 | Author: Mrshen | Hits:

[VHDL-FPGA-Verilogfpga-pwm

Description: 用verilog 语言写的FPGA子程序,环境是quartus II 7.2 已经在EP1C6Q240上测试过,源码包含仿真文件和仿真结果,本程序可以直接嵌入做子程序使用。-FPGA with the verilog language written subroutines, the environment is quartus II 7.2 has been tested on EP1C6Q240, source code contains the simulation files and simulation results, this procedure can be embedded directly used to do routines.
Platform: | Size: 1163264 | Author: 黄家武 | Hits:

[VHDL-FPGA-VerilogPWM_moto_ctrl

Description: verilog 代码实现 直流电机PWM控制 内有整个完整工程 和modelsim仿真文件-verilog code for PWM DC motor control to achieve within the whole integrity of engineering and modelsim simulation files
Platform: | Size: 949248 | Author: 文一左 | Hits:

[VHDL-FPGA-VerilogPWM

Description: 用VERILOG语言编写的PWM驱动电机的实验,可控制绝大部分实验箱上的步进电机-PWM DRIVER
Platform: | Size: 2048 | Author: sexian | Hits:

[VHDL-FPGA-VerilogPWM

Description: 一个用Verilog实现PWM硬件的开发实例 -PWM hardware using Verilog implementation of a development instance
Platform: | Size: 23552 | Author: lsh | Hits:

[VHDL-FPGA-VerilogMCU_V_PWM_16bit

Description: 单片机通过总线,将占空比和频率送到CPLD/FPGA中,并控制PWM输出.采用Verilog HDL语言编写。-Microcontroller by bus, the duty cycle and frequency sent to the CPLD/FPGA in, and control the PWM output. Using Verilog HDL language.
Platform: | Size: 254976 | Author: zhouming | Hits:

[VHDL-FPGA-Verilogpwm

Description: PWM脉冲产生代码,程序采用VHDL硬件描述语言!很有参考价值-PWM pulse generation code, the program using VHDL hardware description language! Useful reference
Platform: | Size: 76800 | Author: 周涛 | Hits:

[VHDL-FPGA-VerilogVerilogHDLPWM

Description: Verilog HDL编写的PWM,已运行-PWM Verilog HDL prepared
Platform: | Size: 241664 | Author: jessie | Hits:

[VHDL-FPGA-VerilogPWM256

Description: Verilog 所寫的可程式 PWM 信號產生器. 特點是設定參數時不會產生Glitch現象. 包含二個 .do 檔給 model*sim 幫助編譯及模擬.-A PWM generator writing in Verilog. This module will generate glitch while changing the setting. Including 2 .do files which can help compiling and simulating in the model_sim.
Platform: | Size: 2048 | Author: Andy | Hits:

[VHDL-FPGA-Verilogpwm

Description: 适合初学者对PWM调制的学习,解释比较明确,由于来元于核心程序,功能强大-Enables the keyboard scan code in Verilog source code, clear for beginners Comments
Platform: | Size: 2048 | Author: 上关蓝乡 | Hits:

[DSP programPWM

Description: 调制输出的脉冲宽度,进行电机的控制,能,控制电机的速度,能正负反转!-Pulse width modulated output, the motor control, can control the motor speed, can reverse the positive and negative!
Platform: | Size: 179200 | Author: liuyong | Hits:
« 1 23 4 5 6 7 »

CodeBus www.codebus.net